0:04.54 real,5.42 user,0.20 sys