0:06.38 real,8.47 user,0.35 sys